From cc304c911b74c4e67503efcec71d0cd21770bbe6a0b5b1a683494840a8cc9e5d Mon Sep 17 00:00:00 2001 From: Fabrice Mouhartem Date: Sat, 13 Jul 2024 18:29:54 +0200 Subject: [PATCH] Serre-tringle + Blocker: Simpler design + separate files Signed-off-by: Fabrice Mouhartem --- blocker.scad | 18 ++++++++++++++++++ blocker.stl | Bin 0 -> 25684 bytes serre-tringle-v2.scad | 25 +++++++++++++++++++++++++ serre-tringle-v2.stl | Bin 0 -> 55084 bytes 4 files changed, 43 insertions(+) create mode 100644 blocker.scad create mode 100644 blocker.stl create mode 100644 serre-tringle-v2.scad create mode 100644 serre-tringle-v2.stl diff --git a/blocker.scad b/blocker.scad new file mode 100644 index 0000000..a51cb84 --- /dev/null +++ b/blocker.scad @@ -0,0 +1,18 @@ +// rendering precision +$fn = $preview ? 32 : 64; +$slack = 0.2; +$mini_slack = 0.001; + +// Parameters +$diameter_blocker = 10; +$diameter_shaft = 2.5; +$diameter_hole =3.2; +$diameter_z = 6; +$length_hat= 3; +$height = 2.6; + +difference() { + cylinder($height, $diameter_blocker/2, $diameter_blocker/2); + translate([0,0,-$mini_slack]) + cylinder(3.5, $diameter_z/2 + $slack, $diameter_z/2 + $slack); +} \ No newline at end of file diff --git a/blocker.stl b/blocker.stl new file mode 100644 index 0000000000000000000000000000000000000000000000000000000000000000..695ff0ba89ad2aadc1a8b6539d39158b220179d75477e66335f629434cfe3f79 GIT binary patch literal 25684 zcmbuHO~`H8QHFO^BnoN|G>S@e7$ zcl?gGzx3oU-~YS&%b)n3z4gco;?l~|$lHd0c#!dlZ+yXpZ}crEhaS#*0szir?2 z$Dg}>;>$0+nBQL8`He5PYB@O^(JjxSw=F%T{(nCD%I%H6c}3&sUg7J5-}yWH``-97 zjicq{a74G@%h4?-$KQYE_n*A;>wfvpH+%-_K>otNfARM1fA^aQep{a$j<~XNH1f8+ z`?r4b_Jgl}wfg(n@A$R-tuMSw>-@j({MG#tM7Ln&=$6rM+dur=&)z=! zZ~yodxmwis7{eaD)c9IX4o7s$v*`AOe%or~jAPWucl0$s8dK|+xzfn5tQ?KJZL!`@ zV-?lkK-_yhB5v=oa=jkXCx;=}Q70?BwjMd=C*~*$t~?}z^5`)*(Rhc9Xz z@A=@*?EItUGw=A&{xv`KDUGA`$zg~~D@P-5+h2X|+wNca{D(D;@Oy;c1AXlABYLAT zwLUovF|Mo}jjUX9w_I|tzUc8!F2Qfh=vPFyU={N=(Jg1M$ho}-IWO3Ec=9~h`ZMCn z%F)R4j8eX;jC{veoea{qoE&vVEiHI*bj#>S|Gh;e0w z*VZG?H7BlmopE4@!OGDsqu(}i71=t((es1e z&!yHUhaoPl9F45=oKx+NTxZ{bTpR~}Tb~?tatd)}qehJSN3lzdKox+#B1UoC zGWr$KEwDSfR1$FAKU`~P7v?jL*WL;L>Quc?P_<@@Gg4@buo zTIVaYcEpN!`JZ3ffBJ8~OCxu`F+S}_w?>}5k}vn`e2yCT_kH;T`wM^l$)4TmH|B~} zlwOFDxN&#CH4Eaa9^TwP^0@!&54^bV_gCf1{dz6osBy26%a{9gz7_Gp=f8g+-|`pY zaKE)bj%hto8dR?2K5;l-&93wl6~efG@ulzGk6-^6ak$^wn}=H?&)JnP_v?I)iYWQW zyZ2Y#e#e2<{Z_RkJ#E|2XK=XRT21lQOka$n*5hR5==9zk9^oGO&yTC)trzzAci_SM zUYS~Y+WKd%#~qDFzVo#;zvsw>@Hlq#ity<3sC4v-@L2K~bM%Uc)!Sng541ko%Dd9j z)<5I!vE(u4d~MC|aremZh;j6ah`7B)>w(sL()rk}k;mOv%~#X;95wDfTAzglZIE$~ z9eayW9w@yheSVm+#Buk&`xcJVn<8h5vfHJE5l?2ev^0!Wn1yEty; zXdy=86p7Q9qdBpA7o=??s0$*K9(;EzN6U8TGkWD#j^@Pf{hzjth+RAH6uFh7Wjh+- zR*vSx?#!3Ajfh-wMD9KK?pBVL?a*h8!>t^x6T5PL+BPC`;=D8AR*shKXoOoin$xsT z)U<6xWa@)|e0M8H%Xa9KahNYhb8d-04d}mJ-RIjLaPgahW{YaEXzH=7xJ;pTAReeZLTmQ^@ zR~&BTXjzZM%t~hGd#nxBvd~qpNKae;C`zbzcQkJ0Xju<^#$35o)gn7>5sC9YW7_7Y zqMcfF=lf!nwYK!MJ!03bKsGvdzz)Bx?PJ_EhVX~dtsE`D&}WRptsEUOtos^i_xM!y zp@!Shxs{`3KlB+KZpB(Onm8b~((dsYr&?BIYH=$^%YGzk)>lF}I(EQ~xK6vrr%nUv zE48?lqh&u5HR~%O*c*+mnn?PzZA8^LYH=$^%XT!vtsGsok36MqBdW$xi(5JR`G~4_ zPUsa;HIA$t;oK{NZ+%_n2>0}SM7GSkUwTUF*2uAfSySzgmd8Dl=6P|%65Xg- z`^d@>XYRzz`bvnZoFrErBsp#KbLFTCNmkCcBC5ubmHXu+=%`!~Zslm_TM<>`h{OHH z{@^r{ennKpq87K}ob5foBHXX@c@I|vXDg=wTK5~-=O7QG_a9|AYM&jgTSZQ!l98Ua z{!#XsBlIzO58ImGx=N+?+4;8k%^F2|+WKc!GP^Wp74t-0qVuY)`8}(?oo_x1-b32@ zXI3&h8uweZ#05vy212-1#1vJ=1%e8k>Keowgd2v%+<-S^R0-gcXzZgPt?1TosYIhmErw$zM8j-ad<3M#VQWx6Gwf_ z^^h<3>wGK1qtBz#`GgpW)7@I)M;zR{AhXd{tNAqT9-ngsZ|FRZo$p~!BvzEjm-}_T z72y$HqdoBnF%qY{=ftQuobMEgMKnh)ztt1T&R53z#hr=AlSB9Gd@I8DgzpvSTM_Qp z`5dixKX~p;e+OA1`n=keiSlsOPt~>atU*!8EH8=;)&u!bj!2o_Jm%M zc#GJ*BS$1EPVp7dw{vcwZ#g-5v zxw{kb$aPK}$k7w(Aoaav^eduUV0U!O*{ga>PjOWvR9uDCym!*_8PP3pJGy1`+eU=c zyHVUJI9M(8p6yzn9FDlMay0VHqFUQR9O#3KxD!yX9cUBau7>D#{ z#Fdq!vsabj)c5d79?ZRM_ue8(h#Ki<78Rmf@a5>1lOrr8Q_7{mh9%bPK*5-Ewl&8$#-P%!#rmo;;RXe@0wcIU0H9L~(SMLC@;3 za`(XWVZf!)z9XRqq5FpZ{qi8_`20tN(|Pw)r`3aW%1X9S*{+BCa^0m5EkFSI8io zuh5F)6%p5&yY5d0!u?h)@!%NG^s4v7tiqjd<%p|0-dmC{_ZzXR3NUBnff+aNZ_R@E zs)zS8g8O;gd!fbs#=cQCFcEVcHFEiKzs~pQQ-tn~`+3~=#+UnzxKg&B90eP?HlvoJ z#rbL$q+dC>1IV2%5bif(dhZM_#7NxW%>=y_2EzS1-^$TXVnDdx&iUw9g!^^An(4L^J=oLZS(n_zqCw1an^vYMwSJU}c z4j--0!h$x)xb>4NdgVQd%2Lm~&Qaoh_c1x2QAB2Nzs~3A6+y0IePQ>WP;C-(=U%y$ zqn&T%AmSEW%>P}{eisBM_jx@W5u??+w$-Z@JvlgS zdTkxyp0YpPE4OlVtRU|iJ$f}cB1-0+0=IJX%HdXy=7i{7AjIV8>pqRvtQ@^^xGzV? z{?K!(IlGf1cI`p#rNylry>hsfqhp`z+1;5Va>+sNrNylry>hsfqx-4cnIm!{mA5$D z%F!!_TRA$isGjznIjW-1ahR2(R}S~(=zjKc=7=#I~+{Z6xF7z8oE=ke&~o zIbtmi@;fbVC4(bIWo)))q^vdB@j%EiCjc1OkCe-3q zj$S$3%F$J?TyRwVp_av}{*S=yRS}JwTREB?Kr~LoNXWQV{h=1Oa`ZY5w{kQ)fM`5( z#9AogX>lt@uN-dWXm$Y6c;={zMJ;aS=#|5*9L)}3C!9H|Vo{4*IeO)AD@RvNbiq+I zj#}Kx(JO~rIl5|}3y!LB)Z$i-UO605_09<`#7Nw@RgEJnN4TdI!MCW8P6+pu-t#ju zwINbB@15wW(_F!9jJ#Vll{g%M+}vfXUn`>OolA71#;t0f9*5p4rzdCb#Kf-6`br2# zSA}DIjc_YRpL^Jds&VAY{gScC_2;>AD@QwDkDA}(7SYa|tn%f4tHQb9;Ed?~>{euN z&d77G+^_R_57%CCMs!|szg4eXaFFpkTe?+M9~TJkyN}6xxb~{*5BXaB*1Of`k<%l3 zrWUheo*35|;iL6g@E)$cVxF+Xm;0?+;(~+K!ygE@s`}vqQB{Uo+$ydMcyH+f5!bFf z^H7Uh#r+b!cXA%NubQu>&%!$LxV|}vY<#)jxB}BVA!m-bx5V>v-9vOM@(8}qh#KvU zmYN00H73bxuRJn5Vth=pp76cmd@I8JI-jHGUt8$E zg>Pa<-ab@LbWIglZ zZ={GL_Ot49^j^caJ~`r<*F;=dIU0G}el!qY`hyp#lt$-7^qyB*pB#p`v~o1^wtaIv zpZ|^ezxtjTI@@8>a&kDLTd;C;%jmc5kK*r&d_(-T5afs}-9bZ+IG#_MT1LMjx&?Me zx17ED#)y)i_)7lWkBG!wZsNnOTFy2{bPK*5-Ewk#Yy5qN_txKM=$Zjvep0vogt)YF zboMG%@E&WfxQeyixz?1GJ=th|au{MnWbFK-rL7#D9I+NpVoelRUDwj$b!qF9!w{EN zj!q87K+p6ouXuN4?_tZb*&NX=VmEYMd24*z_9yW^(VOuM9eiD3?Z~kPdNK#P^*Ihh zj4LZgBX1iq6_LVRZ80a@X+DtoA>)ao^vU6fD=R?@oicme=IUVO@D;OgFcVm{oE(nm z7WuM!WK|gmJ$IDe9Hy;<}Re$rWu5oreRqSfsT7O1dSveYc+x|2D*2_QDJ1kZEsPD^+ z`b;kfw{mpL$r0mt+T(~`@xQxwTB7gs2~F$gI2tjotQ?KJZRGXH)>`LAp!Yq|`s6Ug zxUzCIvd)jYogaG2gWgxIW%MheTVRhfW1?HmUa=OjCK^ZNTJA>ec~)xF|Mo}jXY;p zyS8Rmd^MvQQ_E*Wx4`b`mY0#sZ;f30!y~-qGoo8ycXZ3ytJoXywoCp!%jne+ajL$@ zJ~`OMShbuSj_4Mw9NjYdncL-y?|s@=p086T^~q7W9mKe@!b|Is6<2pw5jjSvw?&X+ z78JAiZ5jQF=oYLT-E#J-DzaYlBX(}Dv*r!2J@PZ6Td<0Cp6Hg*&stRD@DnF?*TJcb z-_|EboM=?9LR?wlwe`r`Mn1GPCmRS&ZdB$Dj+W1eZh<| z;~J{!cIut^7hx*nXs%kH9FDlM!fWf1x30o-9CVkj8qqD!qT3UC{HmsAJ%;k^ z<1YIHFsgMYpHqsLY`LRtDH$#i`->F4qtjd37H>iE&R4V zIXE>Nab+cFp;NA1%X*C3wFfP=x3xB{PYy#|S~(h7`<&|!IH*{-nmCYSz2}qh)+a|q z$V6OOIT~3t1NX1GX3)H#XP)?t-~Zt>&WdNU$wh5hb<>ZU4IDCEzhFc6M9__a-Uiw@9GY{=fTz|2X{Cd Lab@Lbf{0v%FOei*&ff7t1Be6yY6so{L9w=ot!anIVQ96s zrM1%9QBeq1I|QPX1cgBJ?hQeuCJI$Rgc7I@2UYP>v~m;ZGv_m(wdXo#pWz>U$N0W) zeQQ6zHP?MTYwgSXgn#sm@44zLFaN6hKK>s*{TbhV$Kn6?=WA{|44?R=%f?6l$%96w z_3Y1{zV*d_Iey^d2?$Ty!FE~|3w(_J>gb^(G>7wn+%;D3DC zH2mhD?w;{2@0kAe6TW=9^6hsYVO8NDdE+~SczF;HJ^?mb`h z_GSgbQ%d63Q)7SS-NxztFZ{_Jtemd+ThD)cXuKjcekF*9yzDd1ZCQD)5bn!S`9+;n zPG0a!_qx2|pYw@(MqO1ozVbyMxvs8&D7{~M?7cfWbM?yy<@nX7+`FX3bQ)y^+VzIvvtIrD@u7cn#bwbPLs=oMH@{$xW^Ax)_F2MCR(wq4C5|q=u*KbcL^fOKE(ma#h5AzUz0U$|2gI!r6j%3XLmMV_#{( z%IS)~&4Yd?a*bU5_t1FP8$R=*Ei2bRco;tI$ybjTzx8VN-OvB2OQ-$aFCSm^h~Jz3 z#Tzc3roZ@R_T36U?z!&?;*(chJw7{#|NAd*bJ2f!|D&d9zW4Zs^MAJ^Jf&gyR1i-K z;*(GK`3tL;U>sDs$cd*k3`6AV>y<0Fa=OAqbA<>a03xMxRaIi8Za+Lt=UfzuVAy#yLh4dQ42@K3MXvI6aT!|;XA zyLR`n+dpTHIv7y@K`kiZ6@U2?;}3uP!xyAm@u}g&mX*_4SNHn&PaPlm)8}zceEQGb zXIj4Q9h?*Q7kWQIq|G-`@-p4-}{#F=O1$Wjvj{l2k|9AED!!y=bSkIUH6+V z`S?xa5BNo1`-eUG zfzub?_Ls-+zyDoYX;G$%&)R)}a`hF@|B(w_qpU!?UUc0cZjHKn)pNh^HB~?V?b@Mf zphl1D3hnV*58Yi@CH&lP`tOkr@i3A9pNbr!(Ag+UlSU)eA(kJ zs{B6g*FMw{o>KJ0ARZjV&F}os^;Oz`_MAWK2v4c2-NEzjbcM5D{rT;mGk#O_c=Yzi zz4_tO^0prz&-;?!pQ5L;$HS_^H!Z(E#i$M9wn2R7-+kisSAEY#)72k*!Fb_s+}f-_ zcuK?Yksux##9M#)^DlbE6EB{YfBUrY!#BUDBRr*H_&-5h8N|o`{Jbx#QH!~+asmxc zX&62l1jZrC;Z{ypcrE!i9uVkpmG^Uxx%=gn(r{G zr&r-)qOMT=*`M9$@cJ0eTw$FJPlGcx+CAoL&eZVCVjbs9UGc}nmKAbR@tLcq{qJ}0 z-uLWhvaT>!Vf5gN=6Tjt#ixc5!CS6`vYL?6<6(P7SpO%b{9iIn)L$N5!Xx5or{j zAFh;Dri)9HQc_Q3In?7=4quTgJ~f=!vVum%ryl(F@Q}}-D{o>(TJjCg;IURXHQ*ut zS`bfv&IQ-k74xY{%R@ecN1k5zO+h?5{C2%L?gMd}`b| z=Bn7S+z5@>8!WLCI>Sx~^A_SV-3+%K%N+YC5c_DUCC0@Wtemd+wvU=)A9W-4QCn87 zk>S|m%(2H|t|}+UZ_(HxReamy%rSCr#2%;APZ3#i%+j&*>PoJi7s~{zN-29{`0aD} z?Pv1a7ql<(i}pr~%5Ps{?=xecz}>_gyNNUHCK?gmeY1+a$P)Xj8GDgf&!2qt96K+J z53IF~aI1>X9SeG4>_2K|XgMh>&tcXs%JK9A0;R27Vc%9|%JBh>*m<4(;!j?1uF^e4 zWbHyD_Je2I53;n{53)?Ksxo0`$vtP4eDEu-SK-`q!e6M8$Cb(211mq^Ul&#CwDIjv&r`#=|eHE3k6<7p{BgGF#jkd9N!qkHM`}U6K++x{od&=K|ETI zwV>y{th`LwOFk4kmM1>%+Owy8-6t;!0=_icym~vvgmx@5_KCTJd{_`~iyfpBZlzt= zjJ@bE47pc3yZMcOuXbM4a4YTfX6$!|K|5jgMAvYuibsEId(Lj0+b*>$Q}$i$?m7+B zNtGOPRE4vyuoM1ZfK1*)Iuw~_R)-L7|?bWdJ znvnxs@p-V~Q^ScZE2mQfXIGkcu`A*XCoAp^k#5DOh7p*lkF1cj}P9ulGi7hMBU`p(}bDWn{Pvl$+tLS6&8PJa_oVkjgILDdHna*UIRn&5$qrWY2 zy0ebjInI5~bnerxI1&AAi4!D^+BjR9<80|nXG`sh6Vcz6IHg)g?HuP<)f3wlCn}ty zHqJ}tI4?QVc?t85ma5WXbX7Uph??URha;-e0^wFwjy9rL4xJP=4Y#Utv=KFHMD1O} zttvi86wavQq=+T=yqA@iDSM*MUfHj7I!K>7W@?TR%;yzO0w=-`3Qq%PuXV*vYf0+_ za>iM2I}x7W_tXzu?^j$;r<^m+lk+6?fuZqvH(hzouQ;KT)EQ^i?PPm?#E<>ab$-PO zoz~7c7axXiiE_m0`TWS2e(^+9x;$iqA)$UV1`oTJ(C*f9AKAc9cCBOECUs`A3B;2aXhZFCi&fD4M zp7*UXaFr_%(RU}z&={%V z)l8iaH z9z5@5p+#rjl4S)opf#@Md#T362ujpv7-SXW@>bk#!h6UXus{fZM+3+X5RugDer z#LI6zJLgxNs9I=#;%NRZYY|tRsBrp;ch38@bx=?(o*h1PPsZFelk+c|ST&KcG=(8I=~S;=H8M6`yAr zD0!WiY+1QRhH?5RZwbE78PdZQ?6k2vMQJNOOYX#$mD5cF9vMfi{44qf^1|q4RD9ED z#Fmvba1TLVhMxVPhEA}VuAbiDc&u=0I8j%SuG1CHa$tvwvpDppB9NBPR~1eTC+Z5* z%I8bCs#Qv>mnqEknh<&&d znq!y}cfw=r=y%%DV+9zo0yv?0j42I+=3SP2Ywel+*a zm=kx}JHta_dsN;rb2^Pn{u zO{1(pyB>Xp*`766uscDW#Ol1*vj&V$jqm{G_r?A5J3aqjRxX*RRealK-U(=&!Ipetud6ujn_?$(fMz`xq-Ye?| zeytN#SLwZm7e0rFJjz36{lIs2qUtI=7sgiB`LwZq=xE6HF=lRou0lb)OS=EpZQ$t6I$G?VfPO9}`Wt6KKEm+~M&FSga73(FWRq{DK{dkgNjwd;0J;{OErXiyF)EJAxK&?Q-b=i+RaagM*%PaFF;lyhr(5yaui)k5Y!tIk%se=ur&pvC zDEdJapBhH&x2%wE#ivG$COl<94UL2Jyz)7T@?;i_s$(L?AFn{&=?Z7Aa7u)8OO&HL z8OsTMpNc;wl;6(E>CBbxO|Ts3-Ka@i@%pLw)G%VdW#x2g=-xzW;N*@Px`V;?z}krT z)No?U3h7pSYQW3K{s48AKl$83@2lfVKIbqDPHb5r-HK0*IH$&m7k!^t^_QsO5m$6l zR8Ma)9$r+4-GQfSJDr6$LZ?xDddq2^x6g6jzSDVoBXr`$r?;GL=ZVafPNPPhg*Uq5 zvm9|3V2-;0JKY61veI1uoElP(r_MMdqekUdPic`xt5uD_58}x-&f#`C*~WR|h!eW9 z0^up~^c-h>d}6Cgi&H=+s^odrhjY<5q2K9*9_ON?PUu^%JS9Hkg_FCk1(B1gAKe9D zO4$?Rxr{lUz&_Ix*bDX=xDxxq1-%1%*?2}{iKp20dj;u(!4o|Ipo zFPY;R`m^XSc(%3?ZdLL5d`UdXF=O8u#Nat8E6?Ftj(CQ?BhVWvS9&^yWy-xXG~yZh zvwv{awGXd!PZ8O#s^ogIpLL?=OIRjYRhil|ELC#6J-|BA+XIa#?Yt+fCw?)`_ZWZC zJ0_%ywvSfiY*+DFaw9N{9$7h^<%r$gZ2Q_ahu12DbSr#J)D^00o~M<~`S}Dy+&^be zjJwl#He%Fs+O79_uWV0Q>~xPF&l-$){-Ug)=P4x-cieY+4gfVeqW?G%_qvvPq$`K&=t-W z#QpO)d+i9+ZslF46x4%v-tN)wbiT_gAS&-TrEoe+UMCZkD-e};oKiT=a|YboX09r~ zH|5Dh)2n!-lywy++dRu~jj{smdVG=tcNFQDgeQahgY-+>7peHHE8S7-JQ-XmE99i; z%oTcI{_N*Eo5U4``5qH|64)FQ(MKn&IERF%l&R<6d!A7iA(}o)ueUOLJky8SlNwIA z_G%x~ZbyiwhSNO}Z7{(fMLC>s?bC#4YB=2!(Rvg7QD`{f+NTN8)Nr~dVs1zKQo{+? zK23(zXBW3|=IN{o-3DK+{r+Xs2ZoXrHoH*gyrwP&2aJnb*f89F`BwYJ6A=(Lsdjh+M zn3Wfl!wH2gK4bQ?6AD|p^_+fM7$u?GFjj)Nr~dqNT7RP{Rq=K23K23CU3+KCN~ zn8^;`F`<=f_Zm(p?8Jsf%*Z%5UQiAvJf&X435A)i(>+mVWYBQJQ#wtE=347?PoOPO zFC2rpKO^DVrwP$cWY~~B`xM$28cuZDCyD4G&EIv}fEec(8|bIda6)0mpC&{z?@spw z#yCa~G@Nkl(}ZYhINcLiJ1`4C!wJ_uO^Bw3(>;MX7qb~OoN(>aglPKhPB&uDSu{0h z<$Cot2egMlcV)Pn@LpY`2s?|4&;5rH`zBQpBi{3 zBi{4ko>Qk$JAL^*W1YO}QxtF5|l(2GQCv0P$e(02Qr?=0T6A+aXoZ>m1xvDR0 zl=>mz+H1+ts-CXX70we#yt@?76kP@)p59sP`xUG=l(vX`rs&T3o)?K4Yp`;<;@gu> zcx#Jazu2;JjjUbds=j4W>L_{9_IA~-J%xm~w)ka=Qa?o?ei-y-SXXj?8!WBzeYJcx zO81I5KHOs|4+(j%_$-GJ`z5so}(y71FKv z)IeM3&wh;B#R%OYErt0MTGZhLo76~a{JqECV~SaTPj5KkDXsR0gy=fo=j?E-5i1Mo zg&Iz{cCX=t!qjlOCt`)CU3ni@{`MD#+ec_TEOaP8BCXlgj!6Y8V9yWoUtpC+n@BZnPr$bQtwp@tKk_DRCW zozn)y_y}JYcUh_7glnHBL@y|Z(>)QsE>2RZ;e>0SCPY)i>7Iz0jCX#J6DM5zG$EQA zPWJ@HI7SaNoN(>aglK9w-4mFrFbhD#3D-VNh^B_qJ%Je%^B**vaP8BCXlgj!6ZyaH z3j!ou`!pfi35FTJ&#U_}(&E#&D2EdYPiy=ZA$m<$9w%-0MMMdCf{wJDIM&`GL{~X@ zy3=yiG@_+=f{rUrxOS#q8crxo4X0__G@{30PsloP!c*!soKTn=PWKuya(I4$aya2B z^%_nnObw@djhF#&Ucz!X;VJbRPAE(br+bZ<$@n}R%Hf2k)N44QFg2X+HDX4_c?rwm zgs0SNIH52#obEMZWm)1X*Fh&drC!4cg}Dwo-D^Z!;JkzyPIM`m$ez#i6d{@#9Svfi z*dA*KPdv~bPITI7%)fg=G&P(~)6$5sf%6iU!wJ_miC8(EP?#D{_ZqQw;JkzyPIyYa zh7$@?!|7fl){eGobiz~WHJnhG8cz2bv39iGmJ^;*ui=Ek^xK_o#2#L_TRC03CG>?P zKK$2L+<3Rxfz=)WySUnU;fo#-#=9W=&S6iumD8n>`#~^oj_(0{B)&c1JKor_wl7si zeEZI2Y7}u`h38rLHB8^{Ch=|gjN<6eN$C3n{GwpQ1!up7C+QQyPZQc-{S_;bYKy zc+Ebm#&2$Gv@z&<$kQ;y7{nLGW{p99*+e4y-T+i$?kMRh7ql`Ma8T^E*Xg zicl}%Q+iHE*q)#R5%n~qzAC?ZqXy%V@8eVsb3_$^`l|fmiqloTe5V0K%?NgvHwH>0-yQ(*`gphRb}xPWSWn&J`yTN;ZTpI3#J6uy!hRTT z5OH9|r+-D;Q^g=cV}ynXe!oC^{4#+w>f0izLBg$^j&S?l!7yC=qi?3|}5^LEQ70VBSGEy%Km+!F!2vGJe zcL#A`)p}z2aG9%fZ+O=09vNq^_x|96#(HiVUr+HT+RC%UlWv)-j|K6VIJI@F1G>E> zn8Zg#gjM?@Y0%Be>0x-sZ+*ulUlqjPiCi66H9B&|v&=PDpL)?#=V!$`QvSqW%|v+G zux7sez7O&R;yZ(IE2kse-jB?3V4b~9_$I#I`5Pk<#`k{p1`ikzpB98$IqmD?Fudet z_kZmzG4H-Q))3EcjXS)rRJ)0MY7!cnsdsL5Kyz&7+fE>~8bE_HHR`0sIjo!>h9|t> zhcA9p*WAA53OxkvuC|@=WPgo2JZZ1KzYQs;x|A<^9v&RoW923 z7-JCr?u6A6PxfjQam;HB&iRZmG-3>nF$NEbHnyFH{jdjtFCu6RmPR97yN$sy#vo=N zx8izkPcB=oum);wr%!EfOVZcU49+lfh1Fox+}_>{(_G6p(KH9^eYJZ%>A9Ca_UdbQ z?}|4^+{>u-)xJZ|Z@Q&M_*c8|uRt{a!wFAm7=HZIzVC*|NTXI~r0aC;)$%?kRKma7 z(tv-(_ZVC+bA=K0r0{uttko#O`(rh_@?A18AmH=5mD4^phv9dA<+4k^{IUzqZVnGt zo&|rCfcw$4H^@72)QEQ)UY!K@1Gu;8gr_tNkH2Vl!)4NN&s*LL^~%%#TQ#^huw9XM z0Lays#2WZxu@-6;<@eJx!|}^#n&I@7G$-83=?LQqbA5>ogm$Uev2ZVoeT~|m_qo-A z48wka4~L!b>{d=!Eg+>N?hs!7&Cydd`|#~d@8RC_lfb?Jeao$!j&OU=Gl`ge=9sU# zbx<==y;0RxpPo3d!hFTIwj#dyC`m+n%xVwRBHw=ZRYtY0_vpbC;Z|w`(rO!qQ$zcY zu|}u8`M|lWpRd3a;WM1mHG+{+YM`foR`hruYw|z&O`n>t@(oZh*g=ADE2n*I#!3*o ziP+w27ecREq%^f6X9#sI+$OrL%yzvl{0*->WTa^mecB2@?OJd zxHx=QB`m92Kw=_SM8QxQX0+5Y5EMW4WD6@&)`<9 zH)vE{tv*Bat6BZ3y?L*`#NC#9kL|poUy*Pt^(Foe1@$q8IqzN?^X?9FyJlyeA!+vE zu2FOQSvT+Q(BlsX%=09iX6^2y3`JmeE{#TbN?dVnh!uyq(%i>%wK9;_YGApFIdRsU zh}y01CBT0e;Xi2RV>sI!Yq{pcW~IJMT0Mqgdf~5=7e3dFgZGNmcSnCyGvCgHiah=D z`e=1Pa~1`ib*0?|G`Js-MzeBy7-GkAiFPc|aH~ew-XL=oyP`|9E2{k<-#^tn$g|Me zt95?j46m>DQTT496Y>-FCTsYjuhV|8{6wbfbnU$URt@ge;3v9XwxIU4bIkzogq4#S z`@xze(nAIlduQxxu^*i9B|lj;KUz6td}`=SrdDTqVb#O6wom1q^~8Zy^Wmyb=X#E_ zzBq^5;jFK`JDm0Silei>c|C`dFM3GOFU7j#G;_7b*nk%xFN0@DzLL3io({$dBy)9O z#d6rwZI&zf3}_F`?R*#6$A|aOtgD#YXY_cta#}6Rl#=+2@ZoS~A`f83+khGqGscR> z4Z|yeGZVLRT3!ZIYP;<*cH1=unU?a-{h3D8+>P@QrFSdOiOOfYJFI9RJXdbzG{-qk zCdN3KsJdcWPU~dCauqxJQD*?yxzn$$RUa!(zeb_9KQ_!R$k?xaw*>nNZ2cDk0uWJfPtXl4c9;;fKO+sQR%p8Cp5rW@@w`G+J6tS6!{S z!pf;Lee584hFGhm&h)tkBb@yz&h%%U>EpDY-wmo28E1>N2ImQ+=3VTByV*yx8c()s zf8L#uVYdx}duKc4BH{BG&*3od;tXJpbh*RE8LQKpcjv!VqtTwjEP3o8XYD!LHwqx))yM7tm1bml77THJ{Pfz`4!8sXYe zvrl^N4RIR9TxqRkPMoegaci#Njj27j$HC4^CFe?}l515%zHrW`_CW3OO058()i$;t zjOPF@!*c-MGwLp>o(Y)NFI7ULhy$zED~fkT&AiFf^Q4uk`eq68O8ssoi&cJfG7ahHD}X{7jQutzl?5v0eeS(rXy; zI7R*SxI>2UnyYDg^u7Pnbje$8U^&(>G@Mwk^z@n%(tT%mmA8+vfiThxYC-s2fBaYD zJ%9T}5uT`(@z8K$+sbLA^|{g0FOG9+gn#f}FPz@?t_vol`^nq?^XbaBJUqg?(EB0ND0 z^~P{VRP7#EIUPw2(cV0LL^#@GuJ(w2J4eecY7fPu97bS7ZCJ(k2wObTMLk97StrpJ zOO!IwWvk7R_XtmDBgI3*2$X!o%4wv9u@OC<8u)+o>;->ooJSbx;s@~vJF#u$G|0%+ z4y_o$J^B<{3-R6tYrM))#0e{>k(P2rjdhN~75rQ?A@6Ivl>>x+4y`;MVfA*_m3sSv zbiMbjVQ4t9UTLF<^qNvg437$b{dTX)-}?5jM}PZ-KZyT<+dOW%?KgdYgk#=q@z5~h zgq71s>sMp#_>_L@Tco>0x(niCj4zR!2v5@*4-F&s+g45^ttk0ybIF4CMLg2axrFnI z!nopuTSdJ!dJQ92m~(Ll05sN%9CvM`F088-e@LveXj{pGa2o&9io^LzGjiy)^@H(R zB_a$BC)O)%ZYRB_1dUh&&w{FQXlCFjI*Sw*m7~oV9kGvIyp2N;+eo+3YuIwNLs+?DO)Do6ZaHLp zD+dX;a(WG`1;-fY;P=sjnm^e7T+^Yk#vc&qB^y>wBkyQ$cuWZA&ovYNRj-UN(!~$r z5q4tR%4v`&L-b#2Xtrcpm=BSE*sNh_II&)7^KMx5Ud=FIuEJ~`N$uB?4}-5uYdkcJ zIAP^>>F}^3<%IP(X zlCMAeIYuYeRq83s9-8gFT2^R}Sxh6x{q`1SN@b0IdqVVxE4?0K@@EgPOXIH1yCht@ ztnqJ8h<1YEo`^b`tahPCE7v|vh<0K_!+i!PT)WqBLg5J(PWOaH)Ph(iJf+jbIv#tv zCo~2Z#5&>Hr-|(m?Dl9uc|{B2op5budSdmddb%exTP}!o!nIEm>s;H@J)v1HrwP&1A>9-CzixL&!nIEmqMcy4C$JJ!Ih;^H zrwP&1A>9)()^s|+@c}&&u6>#i?F7R;5p%{8^Z0^TCtUk9A$mHbdm`q(!(A!~*FH^% zc7oxah&g=0AH+K0+NTN8(;?jxu@)^z53x?T_Gv=&bV&CE+5+{0SSMWjG$DFAq9;%Gh&^Uew{pF8-wu17$soV`n90V-^MrV*E1mnW9KIH1p`ZL5Bs z=ZV*B_i@k@5BgF&lVPeovGzEgsx?}DEK*X*aX)HCnUFrNBCLKD;dZ{uFzc!j+g45^ zt=Kz{xOads`Yrk|(#5K2GlRm&l@o5|^cqIGINOcB%W|N9qBlY#dLPa*BHZ>LjPD7z za(WGe*Xai}R-&DFM7nJk7GadbiI~HA5(q1&*D$WAXM9hrS9*F) z3F+bt4tL5BUVFQHOFX$%Z$~+tSbZwDavJI4{t9|L!oIRZPPF>4{S`hW+{)=Sj9lps z7WGe z+v>`K_~;q(YS@nz#ud%fB;3mBHH=*8E&vJlCcH-1Ff^Q4ue7@h3vAYupn>x-^j}I z=PUPQTHj?^dqRCTuCx`78b+=(C$e9yy`;^LVZpfzJAeq| z#|e$W306+8VU--`?Q9RE0}3fcEwsM0#vc;fR!$==&2ZFMXPLIUTad#w9vVjMHCrB7 zIUN$!uh{15C6P|+V-apWhVeb2{zEIL*D!LWJ0>iL{KREwKY3q%jXxmvX?SQ=xZjP- zxP6obY2XL{UK4-t)9!gjzo_eZW&IlBp;h#&3BK1c;pwhdn+E%Frd}HLgc+UDs1hZ?s2zQHtOBgodZ9wQH@beddkdTf$r8Ov}@C zy}lf7RZn(9Pi?ax+!N|o9BXQ4jk~g}f0NsfJ|=vu^z^E-=ia>bINtM}UhUDZ@C`4t z3G(apqxqQeJ>fmvd%o-Sg!fnP$4>Wz_df5HPWJ@9`UOjr!}G2chw(k(z0Z54>-B{9 zCGTTS_e8u~$B~O%c}}qRL_Hq&5^n=r|E{5i6Rv%l$SasTCVrO+vjf5zpMS#xz)#cQw=Gwzq-nC}-_c9t ze@sgEfXI~6c8k#0BDd*%5mAe6ukVCwr)?2#<#eP1v4qGxRukrhFduuqn6*fJl2T0WWn5vD{kd< zui;is$Lz!JTpnx43#W!#Io)fxmDBoiBvT@>PcFQrcFHmFTj7{JkrSuWwg^wl>6qL3 zoy+5LxTo({9c>~p1}!b8W5wZjE{`>$Ef&o0xZ+k$n?#ISw{klA6u)!XG=#0+v&5C? zU)lps?YC|;VxP!w{qGfqVKwu)ABwxG~`Y2id#9|Yq*uu@>e!A!BIE}# zK5g>v@??_8xONhvdq{`AkG`jEX(f@gr&JnF$QL<57a_ldR!(?IXm3)Z}!qas<&*5M{`%&Hm)ADrXOKfOh#;;k%t>hVO z5T180ljqPf6|;|g1E%Ha$}`x|&`QrMomH;$8#A?+)@#9Y*yl0%zik7l1e{}cU6B_DA` zC1=>ZsI)D@t(;aZknRcZecmg*OeFTDq1t6yp04Zl8uDIel*4-B`E>w0RNjdFN@ z^?vMn)YzvI&&bf`Ov}@Cy*^jo!@cLbUQc+s>SNSX`|A{MW!m@KSy$fkU61zrq#fZC zHFlKNN0;lZ_9%H+n#QW20RfSW-iMo;`YBK3cN&h}MzDuol!z))vE5;+w5n)x~)EJDYE3k69 z!l`k;=Uuz|SbRYlIf&JfV;xswHRkVzK)My58cu9kIh`8uyXZ&!hIwdckIXjLUN++C zKk$xFYB=FmPFFZJq6{N)28}8?(ux(1Wr0B{<#guiY55I$)|K|J6RxPoupAYiDH$IYB;fF<#cLj7CnQmW|K5qZaNxMF{;_*RZ0_FGoWmFUz^3!*&+wbXQ^Se6 z0xPF0+%&L8vCZQO`ctKgd9m~uJ|;q=Svg(d%vG!%GggEs#-M%ege%?#6`vYTY*{&- z8qtDdjB{x0qXpZY>Y#bI7wJ}fY8ZiDa%3e9#-|3pk{+`h>&o{A+J&*+Dtt`T6{tI1 z;mnm*HEL*8W4d0W6+R~F3e=shaOMi05=JiSD%!Z++w?iA;#0$jVap2XR(xupp73ql z(7`s4K9lJ_f4_XUXM}u^jTq*stV; zQLn|T|2Gkc}XMO%IOMcuCR8%Z$MpD zZ?~MVJu3c~P&qm)r?VXL$XJf(M>A>?SG;~IJ~fQkZ&^8=8uG|W1D+Q(UJKuI_g;OI||G9{|0u84toF$K$8hZn_N7XLU@|m;3so_LjL0V2%I5p(GM&7xPg7>;0 zEgusx(ineCY*{&-xzgNDjhba}1#=ZIo-=EVNE0kd|9jI5nK8E16cF zYoyhB#=*G+YZU8BD-P>Q>k|7_#b-)d)j;gGte{cxsTVyF=ZVztSwH$K`z+F}_|$M> z%L?gMd}?GJ;qUd$7o-)nz;@4cegEM^vh*lJEFyi58NA$mU6a{@Cn=3ZDi;o7S` z%Hf2<)Nr~duo7VAhlUfL(rH38HJt8=nBCxAP{Rq=K23E~{qYYW#|A3Vfo%Tt>$DPv#L{HQj z#d0{IaF)-Ip70gUX#+w-Du$6Ydo`;o7GO(F7KwC$LN8T6Rv%l z5KRrIdjfM6W&vn8;o7GO(bRCdCt@AM=?68OaP8BCXlgj!6ZyaHP7DdxK23;rf?>w* z^D3WcJlsL7R5*S)*=-@b?_;o;d*BJU z_0n)cVW#UeZJS2)IGzZrUqO$Ar_^gWp|BGh<%p3pV^-GqfF7+prC!4cg`L>Yh#3Go zVQM(xDfJpoD9m)7Cb3VhJ$XhACp@KIBj!H%>Le7VhSR-9%*YF7Wz>}uo>H&jgu>Kt zy4Q#tHSgXDPpQ{%LSe3hPWKwo7VVVFi7q7*S>J*{$wgD6qe1KwTi=2>Bs%Rh=HERL zJ#s-?AJS=B8tALhPe)iep)li3B33mg6sCsLy#~fyj5ns`gs0SNIH52#obEMZ?Z9rE z8cujhy@nGCQ^V